Is there a good text editor for VHDL(GHDL)?

6,416

Solution 1

The absolute easiest way to go would be gedit with plugins (specifically, the code snippet plugin)

sudo apt-get install gedit gedit-plugins

gedit has the benefit of working well with GHDL.

Emacs (which should come pre-installed on your machine) has a very useful VHDL mode documented here.

If you want something more full-featured, Scriptum is very full-featured and comes with support for other languages such as Verilog, C/C++, and Xilinx UCF. Its download page is here. (You have to fill out a form, but it's free and doesn't require a license.)

Solution 2

For the record, I've been working on a VHDL syntax checking plugin for Vim called vim-hdl and it supports GHDL. You can check it out on github

Solution 3

You're opening pandora's box here! Most editors will support syntax coloring for a wide range of languages, including VHDL. So your question could be re-interpreted as a question for just a nice editor.

Specifically for VHDL however, Emacs, VI, Notepad++ and UltraEdit are very popular as editors. See this poll and this poll. There's not Notepad++ for Linux, though (afaik).

Solution 4

Vim includes a syntax file for VHDL by default.

Although a little tough to understand at first once you get used to it there are few editors faster or more useful than VIM.

You can install it by running sudo apt-get install vim-nox or if you want it with the small gtk shell sudo apt-get install vim-gtk.

Be sure to run vimtutor if this is your first time using vim, it will help you get up to speed on how vim is used. Alternativly you could take a look at this online vim tutorial.

Solution 5

My personal choice is Sublime Text. You will have to add a package for Verilog and VHDL but it is very easy and Sublime Text includes modern features such as multicursor.

Share:
6,416

Related videos on Youtube

Kokopa
Author by

Kokopa

Updated on September 18, 2022

Comments

  • Kokopa
    Kokopa over 1 year

    I would appreciate very much if someone could recommend me a good text editor for VHDL.